화학공학소재연구정보센터
Journal of the Electrochemical Society, Vol.149, No.11, G620-G632, 2002
Application of volatility diagrams for low temperature, dry etching, and planarization of copper
A thermodynamic analysis of the dry etching process for copper using halides is undertaken with the development of volatility diagrams. The construction of such diagrams for the Cu-Cl system is illustrated for temperatures ranging from 50 to 200degreesC. The copper condensed phase species and the partial pressures of the predominant copper vapor phase species are depicted on these diagrams as a function of the partial pressure of chlorine at various temperatures. From these diagrams, it is apparent that reactive ion etching (RIE) of copper based on a procedure previously utilized for aluminum, is inefficient at temperatures less than 200degreesC. However, closer examination of the metastable equilibrium line between condensed CuCl2 and gaseous Cu3Cl3 reveals the possibility of extremely high etch rates at low temperatures, if a reducing environment based on hydrogen is utilized for etching CuCl2. The design of a multistep, low temperature RIE process for copper, that first requires the formation of condensed CuCl2 followed by the hydrogen based etching of condensed CuCl2 (and hence copper) due to the formation of Cu3Cl3 gas, is discussed. Some important aspects for the successful implementation of this etching scheme are considered. The further utilization of this process for the purpose of reactive ion planarization of copper as a potential replacement for the problematic chemical mechanical planarization step in the damascene process, is also suggested. The role of capillarity effects in this dry planarization process is discussed briefly.