화학공학소재연구정보센터

Thin Solid Films

Thin Solid Films, Vol.521 Entire volume, number list
ISSN: 0040-6090 (Print) 

In this Issue (62 articles)

1 - 2 Proceedings of the 3rd International Conference on Microelectronics and Plasma Technology (ICMAP 2011), Dalian, China Preface
[Anonymous]
3 - 6 Lubricating layer formed on porous anodic alumina template due to pore effect at water lubricated sliding and its properties
Lee GS, Cho DH, Kim JS, Kim H, Choi YC, Bu SD, Lee YZ
7 - 11 Effect of pulsed bias on TiO2 thin films prepared on silicon by arc ion plating and simulation of pulsed plasma sheath dynamics
Zhang M, Liu L, Yang XX, Xu FF, Lin GQ, Dong C
12 - 16 An ultra-thin Shadow Mask Plasma Display Panel
Tu Y, Yang LL, Jin Y, Li QF, Zhang X, Wang BP, Tolner H
17 - 21 The microstructure and optical properties of p-type microcrystalline silicon thin films characterized by ex-situ spectroscopic ellipsometry
Zhang H, Zhang XD, Hou GF, Wei CC, Sun J, Geng XH, Xiong SZ, Zhao Y
22 - 26 Rapid plasma treatment of polyimide for improved adhesive and durable copper film deposition
Usami K, Ishijima T, Toyoda H
27 - 29 Initial transient status during silicon thin film deposition under high pressure
Xu SZ, Zhang XD, Ren HZ, Wei CC, Zhao Y
30 - 33 Performance of organic field effect transistors with high-k gate oxide after application of consecutive bias stress
Lee S, Choi C, Lee K, Cho JH, Ko KY, Ahn J
34 - 37 Electron number and electron temperature evolution in the initial discharge phase of a shadow mask plasma display panel
Zhang PP, Tu Y, Tolner H, Yang LL
38 - 41 Modeling of an inductively-coupled Cl-2/Ar plasma using neural network
Kim M, Jang H, Lee YH, Kwon KH, Park KB
42 - 44 The electrical and structural properties of HfO2/SrTiO3 stacked gate dielectric with TiN metal gate electrode
Choi C, Choi R
45 - 49 Low defect interface study of intrinsic layer for c-Si surface passivation in a-Si:H/c-Si heterojunction solar cells
Kim S, Dao VA, Shin C, Cho J, Lee Y, Balaji N, Ahn S, Kim Y, Yi J
50 - 53 Optimal indium tin oxide layer as anti reflection coating for crystalline silicon solar cell with shallow emitter
Ryu K, Lee YJ, Ju M, Choi H, Kim B, Lee J, Oh W, Choi K, Balaji N, Yi J
54 - 59 Thermal stability of AgXCu1-X alloys and Pt capping layers for GaN vertical light emitting diodes
Kim SH, Kim TH, Bae JW, Yeom GY
60 - 64 Radio-frequency thermal plasma synthesis of nano-sized indium zinc tin oxide powders with reduced indium content
Lee MY, Kim JS, Seo JH
65 - 68 Film-type single axis tactile sensor with various thicknesses using nitrile butadiene rubber
Kim S, Kim BC, Koo JC, Choi HR, Moon H
69 - 72 Effects of anode buffer layers on the properties of organic solar cells
Kim KH, Gong SC, Chang HJ
73 - 77 A bilayer diffusion barrier of Ru/WSixNy for advanced Cu interconnects
Eom TK, Sari W, Cheon T, Kim SH, Kim WK
78 - 82 Self-consistent simulation study on magnetized inductively coupled plasma for 450 mm semiconductor wafer processing
Lee HJ, Kim YG
83 - 88 Etching characteristics of silicon oxide using amorphous carbon hard mask in dual-frequency capacitively coupled plasma
Lee JH, Kwon BS, Lee NE
89 - 93 Wear and corrosion behaviors of Ti6Al4V alloy biomedical materials by silver plasma immersion ion implantation process
Liu HX, Xu Q, Zhang XW, Wang CQ, Tang BY
94 - 97 Carrier charging effect of V3Si nanocrystals floating gate memory structure
Kim D, Lee DU, Lee HJ, Kim EK
98 - 101 Resistive switching effect for ZnO hybrid memory with metal-oxide nanocrystals
Lee DU, Kim EK, Cho WJ, Kim YH, Im H
102 - 106 Highly efficient carbon nanotube growth on plasma pretreated stainless steel substrates
Shin EC, Jeong GH
107 - 111 Tribological properties of a-C:W film deposited by radio frequency magnetron Co-sputtering method
Park YS, Park Y, Jung H, Jung TH, Lim DG, Choi WS
112 - 114 The enhanced two-photon-excited fluorescence of CdSe quantum dots on the surface of au island films from surface Plasmon resonance
Yi J, Han XT, Chen XL, Liu CX, An WY, Dong X, Liu ML, An LM, Luo YM
115 - 118 Light extraction efficiency improvement in GaN-based blue light emitting diode with two-dimensional nano-cavity structure
Cho JY, Hong SH, Byeon KJ, Lee H
119 - 122 Effect of Al incorporation on the performance and reliability of p-type metal-oxide-semiconductor field effect transistors
Heo YU, Jang TY, Kim D, Chang JS, Nguyen MC, Hasan M, Yang H, Jeong JK, Choi R, Choi C
123 - 127 Characterization of CuInS2 thin films prepared by aerosol jet deposition
Fan R, Kim DC, Jung SH, Um JH, Lee WI, Chung CW
128 - 131 Bone like apatite formation on modified Ti surfaces with COOH, NH2, and OH functional groups by plasma polymerization
Ko YM, Lee K, Kim BH
132 - 136 Optical wave microphone measurement during laser ablation of Si
Mitsugi F, Ide R, Ikegami T, Nakamiya T, Sonoda Y
137 - 140 Low temperature growth of nanoblade In2O3 thin films by plasma enhanced chemical vapor deposition: Morphology control and lithium storage properties
Zheng J, Yang R, Lou Y, Li W, Li XG
141 - 145 An overview of diagnostic methods of low-pressure capacitively coupled plasmas
Liu YX, Jiang W, Li XS, Lu WQ, Wang YN
146 - 149 Plasma-enhanced atomic layer deposition of Cu-Mn films with formation of a MnSixOy barrier layer
Moon DY, Han DS, Park JH, Shin SY, Park JW, Kim BM, Cho JY
150 - 154 Biocompatibility of plasma polymerized sandblasted large grit and acid titanium surface
Jung SC, Lee K, Kim BH
155 - 157 Abrupt changes in neon discharge plasma detected via the optogalvanic effect
Han XML, Blosser MC, Misra P, Chandran H
158 - 162 Effect of deposition time and potential on the nucleation and growth of nickel nano particles on nitrogen doped diamond-like carbon thin film
Jin C, Zeng A, Cho SJ, Nam SH, Seo HO, Kim YD, Boo JH
163 - 167 Photoconductivity of reduced graphene oxide and graphene oxide composite films
Liang HF, Ren W, Su JH, Cai CL
168 - 171 High-performance InGaP/GaAs superlattice-emitter bipolar transistor with multiple S-shaped negative-differential-resistance switches under inverted operation mode
Tsai JH, Huang CH, Lour WS, Chao YT, Ou-Yang JJ, Jhou JC
172 - 175 An InP/InGaAs metamorphic delta-doped heterojunction bipolar transistor with high current gain and low offset voltage
Tsai JH, Lour WS, Chao YT, Ye SS, Ma YC, Jhou JC, Wu YR, Ou-Yang JJ
176 - 180 Plasma-etching fabrication and properties of black silicon by using sputtered silver nanoparticles as micromasks
Bi YM, Su XD, Zou S, Xin Y, Dai ZH, Huang J, Wang XS, Zhang LJ
181 - 184 Influence of Ar/H-2 ratio on the characteristics of phosphorus-doped hydrogenated nanocrystalline silicon films prepared by electron cyclotron resonance plasma-enhanced chemical vapor deposition
Zhang XY, Wu AM, Shi SF, Qin FW, Bian JM
185 - 188 Comparisons of the electrical characteristics by impedance matching conditions on the E-H and H-E transition and the hysteresis of inductively coupled plasma
Lee HC, Chung CW
189 - 192 Color tunable white organic light-emitting devices with a hybrid 2-methyl-9,10-di(2-naphthyl)anthracene small molecule/poly(2-methoxy-5-(2-ethyhexoxy)-1,4-phenylenevinylene) polymer emitting layer
Jeon YP, Choo DC, Kim TW
193 - 196 Organic light-emitting devices with an n-type bis(ethylenedithio)-tetrathiafulvalene-doped 4,7-diphenyl-1,10-phenanthroline electron transport layer operating at low voltage
Lee KS, Kim DH, Lee DU, Kim TW
197 - 200 Numerical investigation of plasma recovery in plasma source ion implantation
Chung KJ, Choe JM, Kim GH, Hwang YS
201 - 205 Investigation on the control of silicon whisker generation during dichlorosilane-based WSi deposition process
Choi H, Oh M, Kim J, Kim J, Yang JC, Lee S, Lee SW, Kim T
206 - 211 SF6 arc plasma simulation and breakdown performance prediction using computational fluid dynamics and arc modeling
Kim YJ, Lee JC
212 - 215 Stability of a-InGaZnO thin film transistor under pulsed gate bias stress
Seo SB, Jeon JH, Park HS, Choe HH, Seo JH, Park SHK
216 - 221 High density plasma reactive ion etching of CoFeB magnetic thin films using a CH4/Ar plasma
Kim EH, Lee TY, Min BC, Chung CW
222 - 225 Influence of oxidation treatment on ballistic electron surface-emitting display of porous silicon
Du WT, Zhang XN, Zhang YJ, Wang WJ, Duan XT
226 - 228 The atmospheric pressure air plasma jet with a simple dielectric barrier
Chen LW, Wei Y, Zuo X, Cong J, Meng YD
229 - 234 Inductively coupled plasma reactive ion etching of IrMn magnetic thin films using a CH4/O-2/Ar gas
Lee TY, Kim EH, Chung CW
235 - 238 Effect of the high vacuum seasoning process on poly (4-vinyl phenol) as Organic Gate Dielectric in all solution-processed organic thin-film transistors
Kim D, Kim H, So H, Lee YU, Hong M
239 - 244 Atomistic simulations of diamond-like carbon growth
Joe M, Moon MW, Lee KR
245 - 248 Selective etching of GaAs over Al0.2Ga0.8As semiconductor in pulsed DC BCl3/SF6 plasmas
Shin JY, Choi KH, Noh KH, Park DK, Sohn KY, Cho GS, Song HJ, Lee JW, Pearton SJ
249 - 252 Textured surface ZnO:B/(hydrogenated gallium-doped ZnO) and (hydrogenated gallium-doped ZnO)/ZnO:B transparent conductive oxide layers for Si-based thin film solar cells
Yan CB, Chen XL, Wang F, Sun J, Zhang DK, Wei CC, Zhang XD, Zhao Y, Geng XH
253 - 256 Influence of radical power on the electrical and optical properties of ZnO:N films grown by metal-organic chemical vapor deposition with N2O plasma doping source
Sun JC, Bian JM, Wang Y, Zhang SL, Wang YX, Feng QJ, Liang HW, Du GT
257 - 260 Removal of bisphenol A in water using an integrated granular activated carbon preconcentration and dielectric barrier discharge degradation treatment
Tang SF, Lu N, Li J, Wu Y
261 - 264 The influence of air on streamer propagation in atmospheric pressure cold plasma jets
Liu FC, Zhang DZ, Wang DZ
265 - 269 Dual-power electrodes atmospheric pressure argon plasma jet: Effect of driving frequency (60-130 kHz) on discharge characteristics
Qian MY, Fan QQ, Ren CS, Wang DZ, Nie QY, Zhang JL, Wen XQ
270 - 274 Chemically-modified graphene sheets as an active layer for eco-friendly metal electroplating on plastic substrates
Oh JS, Hwang T, Nam GY, Hong JP, Bae AH, Son SI, Lee GH, Sung HK, Choi HR, Koo JC, Nam JD