화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.25, No.3 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (86 articles)

677 - 685 Intermediate-layer lithography method for producing metal micropatterns
Liu XC, Luo C
686 - 690 Properties of Fe-doped, thick, freestanding GaN crystals grown by hydride vapor phase epitaxy
Polyakov AY, Smirnov NB, Govorkov AV, Vdovin VI, Markov AV, Shlensky AA, Prebble E, Hanser D, Zavada JM, Pearton SJ
691 - 696 Comprehensive investigation on emitter ledge length of InGaP/GaAs heterojunction bipolar transistors
Fu SI, Liu RC, Cheng SY, Lai PH, Tsai YY, Hung CW, Chen TP, Liu WC
697 - 702 Crystallographic wing tilt and thermal-stress distribution of GaN laterally overgrown on maskless V-grooved sapphire substrate by metal-organic chemical vapor deposition
Xing ZG, Wang J, Wang Y, Wang XH, Zhou ZT, Chen H, Zhou JM
703 - 714 Experimental investigation of micro-mesoscale Knudsen compressor performance at low pressures
Han YL, Muntz EP
715 - 720 Etch mechanisms of hybrid low-k material (SiOCH with porogen) in fluorocarbon based plasma
Eon D, Damon M, Chevolleaua T, David T, Vallier L, Joubert O
721 - 724 Fabrication of GaN suspended photonic crystal membranes and resonant nanocavities on Si(111)
Rosenberg A, Bussmann K, Kim M, Carter MW, Mastro MA, Holm RT, Henry RL, Caldwell JD, Eddy CR
725 - 729 Manufacturing method for the fabrication of sub-50 nm current-perpendicular-to-plane spin valve sensors
Han GC, Li KB, Zheng YK, Qiu JJ, Luo P, An LH, Guo ZB, Liu ZY, Wu YH
730 - 733 Fabrication of suspended single crystal diamond devices by electrochemical etch
Wang CF, Hu EL, Yang J, Butler JE
734 - 738 Improved performance of a dual-passivated heterojunction bipolar transistor
Cheng SY, Fu SI, Liu WC
739 - 744 Profile control of novel non-Si gates using BCl3/N-2 plasma
Shamiryan D, Paraschiv V, Eslava-Fernandez S, Demand M, Baklanov M, Beckx S, Boullart W
745 - 753 Nanoscale resist morphologies of dense gratings using electron-beam lithography
Mohammad MA, Dew SK, Westra K, Li P, Aktary M, Lauw Y, Kovalenko A, Stepanova M
754 - 759 Epitaxial growth of Sc2O3 films on GaN (0001) by pulsed laser deposition
Liu C, Chor EF, Tan LS, Du A
760 - 766 Low-damage fabrication of high aspect nanocolumns by using neutral beams and ferritin-iron-core mask
Kubota T, Baba T, Saito S, Yamasaki S, Kumagai S, Matsui T, Uraoka Y, Fuyuki T, Yamashita I, Samukawa S
767 - 778 Poly-Si/TiN/HfO2 gate stack etching in high-density plasmas
Le Gouil A, Joubert O, Cunge G, Chevolleau T, Vallier L, Chenevier B, Matko I
779 - 784 Study of photoresist etching and roughness formation in electron-beam generated plasmas
Orf BJ, Walton SG, Leonhardt D, Oehrlein GS
785 - 790 UV nanoimprint materials: Surface energies, residual layers, and imprint quality
Schmitt H, Frey L, Ryssel H, Rommel M, Lehrer C
791 - 795 Formation and morphology of InGaN nanoisiands on GaN(0001)
Gangopadhyay S, Schmidt T, Einfeldt S, Yamaguchi T, Hommel D, Falta J
796 - 800 Sn quantum dots embedded in SiO2 formed by low energy ion implantation
Zhao JP, Meng Y, Huang DX, Chu WK, Rabalais JW
801 - 807 Mask material effects in cryogenic deep reactive ion etching
Sainiemi L, Franssila S
808 - 812 Spontaneous formation of Si nanocones vertically aligned to Si wafers
Tsuji Y, Nakamura S, Noda S
813 - 816 Process for scanning near-field microwave microscope probes with integrated ultratall coaxial tips
Wang YQ, Bettermann AD, van der Weide DW
817 - 821 Electron emission from SrTiO3-coated silicon-tip arrays
Bian HJ, Chen XF, Pan JS, Sun CQ, Zhu W
822 - 828 Embedded vertically grown carbon nanotubes for field emission applications
Abdi Y, Koohshorkhi J, Mohajerzadeh S, Darbari S, Sanaee Z
829 - 832 Analysis of the driving characteristic of carbon nanotube triode with high frequency
Chai WQ, Lou CG, Wang Q, Lei W, Zhang XB
833 - 838 Ordered silicon nanostructures by ion beam induced glancing angle deposition
Patzig C, Rauschenbach B, Erfurth W, Milenin A
839 - 844 Low bias reactive ion etching of GaAs with a SiCl4/N-2/O-2 time-multiplexed process
Golka S, Schartner S, Schrenk W, Strasser G
845 - 852 Characteristics of atomic-layer-deposited thin HfxZr1-xO2 gate dielectrics
Triyoso DH, Hegde RI, Schaeffer JK, Gregory R, Wang XD, Canonico M, Roan D, Hebert EA, Kim K, Jiang J, Rai R, Kaushik V, Samavedam SB, Rochat N
853 - 856 Position measurement method for alignment in UV imprint using a high index mold and "electronic" moire technique
Suehira N, Terasaki A, Okushima S, Seki J, Ono H, Ina H
857 - 861 Characterization and control of unconfined lateral diffusion under stencil masks
Racz Z, Seabaugh A
862 - 867 Nanopattern transfer to SiO2 by ion track lithography and highly selective HF vapor etching
Skupinski M, Jensen J, Johansson A, Possnert G, Boman M, Hjort K, Razpet A
868 - 872 Novel spin-on hard mask with Si-containing bottom antireflective coating for nanolithography
Choi SJ
873 - 876 Function of quantum-confinement effect in the AlGaN/AlN/GaN heterostructure with an AlN interfacial layer
Kong YC, Chu RM, Zheng YD, Zhou CH, Gu SL, Zhang R, Han P, Shi Y, Jiang RL
877 - 880 Fabrication of nanbstructures using a C-methylcalix[4]resorcinarene dielectric spacer
Fleischer M, Panteleit F, Wharam DA
881 - 885 High-adhesive back side metallization of ultrathin wafers
Felmetsger VV
886 - 892 Analyses of chamber wall coatings during the patterning of ultralow-k materials with a metal hard mask: Consequences on cleaning strategies
Chevolleau T, Darnon M, David T, Posseme N, Torres J, Joubert O
893 - 898 Nanosized tungsten carbide for NOx reduction
Rumaiz AK, Lin HY, Baldytchev I, Shah SI
899 - 901 Defect-free two-dimensional-photonic crystal structures on a nonlinear optical polymer patterned by nanoimprint lithography
Okinaka M, Inoue SI, Tsukagoshi K, Aoyagi Y
902 - 905 High gain AlGaAs/GaAs heterojunction bipolar transistor fabricated on SiGe/Si substrate
Lew KL, Yoon SF, Loke WK, Tanoto H, Dohrman CL, Isaacson DM, Fitzgerald EA
906 - 912 Restoration and pore sealing of plasma damaged porous organosilicate low k dielectrics with phenyl containing agents
Liu J, Kim W, Bao J, Shi H, Baek W, Ho PS
913 - 915 In0.68Ga0.32As/Al0.64In0.36As/InP 4.5 mu m quantum cascade lasers grown by solid phosphorus molecular beam epitaxy
Chen J, Malis O, Sergent AM, Sivco DL, Weimann N, Cho AY
916 - 921 Nanosoldering of carbon nanotubes on metal electrodes with an atomic force microscope
Lee S, Park JY, Cho YS, Park YD, Kuk Y, Chung JW
922 - 925 Focused ion beam tomography of a microelectronic device with sub-2-nm resolution
Yeoh TS, Ives NA, Presser N, Stupian GW, Leung MS, McCollum JL, Hawley FW
926 - 930 High quality of 830 nm material grown by solid source molecular beam epitaxy for laser device printing applications
Hernandez IC, McElhinney M, Zeng L, Mishournyi VA
931 - 934 Fabrication of local microvacuum package incorporating Si field emitter array and Ti getter
Noda D, Hatakeyama M, Nishijyou K, Sawada K, Ishida M
935 - 939 Fabrication and material properties of NiTi nanofluid
Chang H, Hsu YC
940 - 944 Novelty and versatility of self-catalytic nanowire growth: A case study with InN nanowires
He MQ, Mohammad SN
945 - 947 Effect of surface pretreatment and substrate orientation on the characteristics of InAs quantum dots on Si and SiO2 substrates
Yoon I, Yi C, Kirn T, Brown AS, Seabaugh A
954 - 954 Papers from the 24th North American Conference on Molecular Beam Epitaxy - 8-11 October 2006 Durham, North Carolina - Preface
Millunchick JM
955 - 959 Effects of temperature, nitrogen ions, and antimony on wide depletion width GaInNAs
Ptak AJ, Friedman DJ, Kurtz S
960 - 963 Impact of arsenic species (As-2/As-4) on the relaxation and morphology of step-graded InAsxP1-x on InP substrates
Zhang WM, Yi CY, Brown A
964 - 968 Epitaxial overgrowth of GaN nanocolumns
Averett KL, Van Nostrand JE, Albrecht JD, Chen YS, Yang CC
969 - 973 Kinetics of gallium adlayer adsorption/desorption on polar and nonpolar GaN surfaces
Choi S, Kim TH, Everitt HO, Brown A, Losurdo M, Brun G, Moto A
974 - 977 Direct-write composition patterning of InGaN by focused thermal beam during molecular-beam epitaxy
Chen X, Schaff WJ, Eastman LF
978 - 982 Thermodynamic analysis of cation incorporation during molecular beam epitaxy of nitride films using metal-rich growth conditions
Hoke WE, Torabi A, Mosca JJ, Kennedy TD
983 - 986 Promising new valved source for Ga or In evaporation
Sacks RN, Bichrt C
987 - 990 Chemical beam epitaxy of GaAsN/GaAs multiquantum well solar cell
Freundlich A, Fotkatzikis A, Bhusal L, Williams L, Alemu A, Zhu W, Coaquira JAH, Feltrin A, Radhakrishnan G
991 - 994 Molecular beam epitaxy growth of antimonide type-II "W" high-power interband cascade lasers and long-wavelength infrared photodiodes
Kim CS, Canedy CL, Aifer EH, Kim M, Bewley WW, Tischler JG, Larrabee DC, Nolde JA, Warner JH, Vurgaftman I, Jackson EM, Meyer JR
995 - 998 Intersubband transitions in molecular-beam-epitaxy-grown wide band gap II-VI semiconductors
Shen A, Lu H, Tamargo MC, Charles W, Yokomizo I, Song CY, Liu HC, Zhang SK, Zhou X, Alfano RR, Franz KJ, Gmachl C
999 - 1003 Anomalous x-ray diffraction study of disorders in epitaxial films of the Heusler alloy, Co2MnGe
Collins BA, Zhong YC, Chu YS, He L, Tsui F
L15 - L18 Field emission from GaN and (Al,Ga)N/GaN nanorod heterostructures
Deb P, Westover T, Kim H, Fisher T, Sands T
L19 - L21 Deposition of zinc oxide layers by high-power impulse magnetron sputtering
Konstantinidis S, Hemberg A, Dauchot JP, Hecq M
1004 - 1008 Magnetoresistance of fully epitaxial MnAs/GaAs lateral spin valves
Holub M, Saha D, Bhattacharyaa P
1009 - 1013 Reproducible reflection high energy electron diffraction signature's for improvement of AlN using in situ growth regime characterization
Burnham SD, Namkoong G, Lee KK, Doolittle WA
1014 - 1018 Real time optical monitoring of molecular beam epitaxy of InN on SiC substrates
Losurdo M, Kim TH, Choi S, Wu P, Giangregorio MM, Bruno G, Brown A
1019 - 1023 In situ spectroscopic ellipsometry to monitor surface plasmon resonant group-III metals deposited by molecular beam epitaxy
Wu PC, Losurdo M, Kim TH, Choi O, Bruno G, Brown AS
1024 - 1028 GdGaO: A gate dielectric for GaAs metal-oxide-semiconductor field-effect transistors
Holland M, Stanley CR, Reid W, Thayne I, Paterson GW, Long AR, Longo P, Scott J, Craven AJ, Gregory R
1029 - 1032 Epitaxial calcium oxide films deposited on gallium nitride surfaces
Losego MD, Mita S, Collazo R, Sitar Z, Maria JP
1033 - 1038 Thin, crystalline MgO on hexagonal 6H-SIC(0001) by molecular beam epitaxy for functional oxide integration
Goodrich TL, Cai Z, Losego MD, Maria JP, Ziemer KS
1039 - 1043 Integration of functional epitaxial oxides into silicon: From high-K application to nancistructures
Osten HJ, Kuhne D, Laha A, Czernohorsky M, Bugiel E, Fissel A
1044 - 1048 Growth by molecular beam epitaxy of self-assembled InAs quantum dots on InAlAs and InGaAs lattice-matched to InP
Simmonds PJ, Beere HE, Li HW, See P, Shields AJ, Ritchie DA
1049 - 1052 rf oxygen plasma assisted molecular beam epitaxy growth of BiFeO3 thin films on SrTiO3 (001)
Kabelac J, Ghosh S, Dobal P, Katiyar R
1053 - 1057 Epitaxial growth and strain relaxation of BaTiO3 thin films on SrTiO3 buffered (001) Si by molecular beam epitaxy
Niu F, Wessels BW
1058 - 1062 Unintentional calcium incorporation in Ga(Al, In, N)As
Hurst JB, Lewis SD, Oye MM, Holmes AL, Ptak AJ, Reedy RC
1063 - 1065 Effect of Al composition on filtering of threading dislocations by AlxIn1-x/AlyIn1-ySb heterostructures grown on GaAs (001)
Edirisooriya M, Mishima TD, Santos MB
1066 - 1071 Optical monitoring of molecular beam epitaxy growth of AlN/GaN using single-wavelength laser interferometry: A simple method of tracking real-time changes in growth rate
Griffiths CL, Weeks KJ
1072 - 1076 Kinetic Monte Carlo simulation of InAs quantum dot growth on nonlithographically patterned substrates
Guo W, Guico RS, Xu JM, Beresford R
1077 - 1082 Excitation dependent photoluminescence measurements of the nonradiative lifetime and quantum efficiency in GaAs
Johnson SR, Ding D, Wang JB, Yu SQ, Zhang YH
1083 - 1086 Midinfrared InGaAsSb quantum well lasers with digitally grown tensile-strained AlGaAsSb barriers
Li W, Shao H, Moscicka D, Torfi A, Wang WI
1087 - 1089 Optical properties of molecular-beam-epitaxy-grown InGaMnAs thin films
Peiris FC, Hungerford JI, Maksimov O, Samarth N
1090 - 1092 Molecular-beam epitaxy of phosphor-free 1.3 mu m InAlGaAs multiple-quantum-well lasers on InP (100)
Li W, Moscicka D, Torfi A, Wang WI
1093 - 1097 Fabrication and optical characterization of highly ordered InAs/GaAs quantum dots on nonlithographically patterned substrates
Guico RS, Tzolov M, Guo W, Cloutier SG, Beresford R, Xu J
1098 - 1102 Molecular-beam epitaxy growth of device-compatible GaAs on silicon substrates with thin (similar to 80 nm) Si1-xGex step-graded buffer layers for high-kappa III-V metal-oxide-semiconductor field effect transistor applications
Oye MM, Shahrjerdi D, Ok I, Hurst JB, Lewis SD, Dey S, Kelly DQ, Joshi S, Mattord TJ, Yu X, Wistey MA, Harris JS, Holmes AL, Lee JC, Banerjee SK
1103 - 1107 Study of intersubband transitions of ZnxCd1-xSe/Znx' Cdy' Mg1-x'-y' Se multiple quantum wells grown by molecular beam epitaxy for midinfrared device applications
Lu H, Shen A, Tamargo MC, Charles W, Yokomizo I, Munoz M, Gong Y, Neumark GF, Franz KJ, Gmachl C, Song CY, Liu HG
1108 - 1112 DX-like centers in InAs/GaAs QDIPs observed by polarization-dependent Fourier transform infrared spectroscopy
Zhao ZY, Yi C, Stiff-Roberts AD, Hoffman AJ, Wasserman D, Gmachl C
1113 - 1116 Optical studies of molecular beam epitaxy grown GaAsSbN/GaAs single quantum well structures
Nunna K, Iyer S, Wu L, Bharatan S, Li J, Bajaj KK, Wei X, Senger RT