Electrochemical characterization of copper chemical mechanical planarization in KIO3 slurry

https://doi.org/10.1016/j.apsusc.2004.01.062Get rights and content

Abstract

Chemical mechanical polishing (CMP) of copper was performed using KIO3 as oxidizer and alumina particles as abrasives. For planarization of the surface morphology, the control of the surface passivation of Cu is critical during polishing. The copper removal rate decreased dramatically with increasing slurry pH without and with 0.1 M KIO3. However, the removal rate is lower at pH 2 in slurry with 0.1 M KIO3. The interaction between the Cu and the slurry was investigated by potentiodynamic and electrochemical impedance spectroscopy measurements under static condition. The electrochemical measurements revealed higher corrosion susceptibility at pH 2. XPS analysis indicates the severe precipitation of CuI on Cu at pH 2 in solution with 0.1 M KIO3. The lower removal rate at pH 2 could be due to the reduced friction force of the pad with the precipitation of CuI on it. Atomic force microscopic (AFM) measurements were performed on both the etched surface and polished surface. It was shown that the surface roughness of the polished surfaces is better at pH 4 than that of pH 2.

Introduction

Copper is currently used as an interconnect material in integrated circuits (ICs) due to its low resistivity and high electromigration resistance. Integration of copper into an IC manufacturing process is implemented by using the dual Damascene technique [1], [2], where chemical mechanical polishing (CMP) technique has been applied to remove the overburden material and to planarize the wafer surface. The planarization capability is an important advantage of the CMP process. It prevents problems associated with the increased number of interconnect layers in multilevel metallization of ICs, where the topography of device structures varies significantly as additional layers are stacked on top of one another.

Compared to CMP for silicon dioxide, the metal (particularly copper) CMP process is poorly understood, due mainly to the electrochemical interactions between the slurry and the metal film during polishing, and the coupled effect of these on the mechanical properties of the surface. Kaufman et al. [3] proposed that during tungsten CMP, the removal mechanism based on the cyclic sequence of passivation followed by the mechanical removal of the passive layer due to mechanical abrasion, predominately at protruding regions. In contrast, recessed regions of the surface are initially passivated and undergo little mechanical damage and material removal until the entire surface has been planarized. To achieve a slurry formulation that could successfully planarize Cu damascene structure, a requirement has to be met: sufficiently high and uniform Cu removal rate. Chemical agents in the slurry mixture are added to enhance the removal rate of the material being polished and/or to passivate the recess regions. In order to promote passivity, oxidizers such as H2O2, KIO3, etc. are added to slurry [4], [5]. However, the onset of passivation depends upon the nature of the metal, the pH, potential and the presence of complexing agents. Also, the slurry chemistry should promote faster dissolution from the exposed metal at the protruding regions and dissolution of any metallic debris in the suspension. Furthermore, the slurry pH should be kept at a specific value by using appropriate buffers in order to preserve the desired passivation and dissolution behavior.

High dissolution rate of copper at low pH without any formation of protective oxide layer and the very low selectivity value due to wide differences in mechanical properties of copper and Ta/TaN barrier layer, render the Cu-CMP process not only important but also a challenging task for developing interconnect technology. CMP of copper has been investigated extensively in recent years and can be conducted in acidic, neutral or alkaline media [6], [7], [8], [9], [10]. In acidic condition, one of the biggest advantages is the high Cu/SiO2 selectivity due to the fast Cu dissolution rate but poor uniformity. The Cu polishing process is not successful in acidic slurry because of severe chemical etching at the recessed region during the polishing. By using of oxygen donor oxidizers, such as H2O2, KIO3, cuprous oxide could be formed even in the acidic water. With an alkaline slurry, a native oxide layer on the Cu surface could reduce the etch rate, however poor Cu/SiO2 selectivity is a drawback.

Future optimization of CMP for copper will require a deeper understanding of the material removal mechanism during polishing. In order to reach this understanding, the specific role of each chemical constituent in the slurry should be elucidated at a fundamental level. In this study, KIO3 was selected as an oxidizer, capable of not only passivating the copper but also acquiring a high removal rate in the acidic condition. The role of KIO3 in oxide formation and material removal by ionic dissolution of copper was investigated using electrochemistry, and dynamic and static removal rate measurements. Surface modification of Cu was investigated using X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) to understand the interaction of Cu–KIO3 during Cu-CMP.

Section snippets

Chemical mechanical polishing

MSW 2000 alumina polishing slurry was used for the polishing experiments. The abrasive concentration in the slurries was maintained at 4.6 wt.%. The pH was adjusted using ACS standard buffer solutions (Fisher Scientific). All the experiments were done using 99.99995% pure copper discs with 1 in. diameter and 1/4 in. thickness, which were obtained from target materials. The polishing experiments were carried out using a Buehler–Minimet 1000 Polisher with perforated, non-woven Buehler Polimet pads.

Results and discussion

The successful CMP slurry formation will include reagents to increase the solubility of the copper in the slurry and to prevent dissolution of the copper in the recessed regions on the surface. Potentiodynamic polarization studies were carried out to measure the corrosion current density at various pH values. The potentiodynamic polarization curves for copper at different pH values in the slurry without and with 0.1 M KIO3 oxidizer are presented in Fig. 1, Fig. 2, respectively. It can be

Conclusions

The pH of the slurry with 0.1 M KIO3 has a strong effect on the electrochemical reaction and removal rate of the copper. The copper removal rate decreases with increasing slurry pH except the lower removal rate at pH 2. The electrochemical measurements revealed higher corrosion susceptibility at pH 2. XPS analysis indicates the severe precipitation of CuI on Cu at pH 2 in slurry with 0.1 M KIO3, which may results in lower CMP removal rate. However, in the case of neutral and alkaline slurry e.g.,

Acknowledgements

This research was supported by Lucent Technologies Bell Labs and the Florida High Tech Corridor Council.

References (16)

  • C.K Hu et al.

    Thin Solid Films

    (1995)
  • T Du et al.

    Microelectron. Eng.

    (2003)
  • V Nguyen et al.

    Microelectron. Eng.

    (2000)
  • Z Stavreva et al.

    Appl. Surf. Sci.

    (1995)
  • D Zeidler et al.

    Microelectron. Eng.

    (1997)
  • S Lakshminarayanan et al.

    IEEE Electron. Device Lett.

    (1994)
  • F.B Kaufman et al.

    J. Electrochem. Soc.

    (1991)
  • T Du et al.

    J. Mater. Sci. Lett.

    (2003)
There are more references available in the full text version of this article.

Cited by (30)

  • Towards a deep understanding of oxidation in the material removal of GCr15 bearing steel during chemical mechanical polishing

    2022, Wear
    Citation Excerpt :

    Therefore, pH 5 was used in the following study. In order to clarify the role of oxidation in GCr15 steel CMP, six commonly-used oxidizers, including H2O2 [7], potassium iodate (KIO3) [11], potassium chlorate (KClO3) [12], sodium hypochlorite (NaClO) [13], potassium persulfate (K2S2O8) [9], and ferric nitrate (Fe(NO3)3) [14], were selected to acquire the MRR data of GCr15 steel representatively. The slurries were composed of 2 wt% colloidal silica, 0.1 M glycine, different concentrations of oxidizers, water, and with pH 5.

  • Corrosion behavior of hafnium in anhydrous isopropanol and acetonitrile solutions containing bromide ions

    2017, Transactions of Nonferrous Metals Society of China (English Edition)
  • Material removal mechanism of copper chemical mechanical polishing in a periodate-based slurry

    2015, Applied Surface Science
    Citation Excerpt :

    The peak located at 619.9 eV referred to chemisorbed I2, which clearly existed on the copper surface under a pH 4 condition [34]. It has been reported in the literature that the binding energy of the I 3d in Cu(IO3)2 is between 621.3 and 622.5 eV, but the binding energies for Cu-periodates are not clear because the reaction products are quite complex [35–38]. There was an apparent strong peak located at 624.2 eV, especially under the pH 6 and pH 9 conditions, which was the evidence for the presence of a Cu(IO3)2·H2O/Cu-periodate compound [39].

  • Chemical roles on Cu-slurry interface during copper chemical mechanical planarization

    2014, Applied Surface Science
    Citation Excerpt :

    During the Cu CMP, slurry with oxidizer, complexing agent, and inhibitor reacts with copper surface, forming Cu oxide films which are then removed under the pressure of the polishing pad [3–6]. Usually, H2O2, KIO3, and Fe(NO)3 are used as the oxidizer with the complexing agent such as glycine, citric acid, and the inhibitor agent such as benzotriazole (BTA), 5-phenyl-1-H-tetrazole (PTA) [6–8]. SiO2 and Al2O3 particles are used as the abrasive in the slurry [9].

  • Electrochemical behaviors of tantalum in anhydrous ethanol containing hydrogen sulfate ions

    2011, Transactions of Nonferrous Metals Society of China (English Edition)
View all citing articles on Scopus
View full text